Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Моделювання транспортної та інерційної затримки часу

Інформація про навчальний заклад

ВУЗ:
Ужгородський національний університет
Інститут:
Не вказано
Факультет:
Інженерно технічний
Кафедра:
Не вказано

Інформація про роботу

Рік:
2012
Тип роботи:
Лабораторна робота
Предмет:
Технології проектування комп’ютерних систем

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ, МОЛОДІ ТА СПОРТУ УКРАЇНИ ДЕРЖАВНИЙ ВИЩИЙ НАВЧАЛЬНИЙ ЗАКЛАД «УЖГОРОДСЬКИЙ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ» Інженерно-технічний факультет Кафедра комп’ютерних систем та мереж ЛАБОРАТОРНА РОБОТА №3 з дисципліни «ТПКС» Тема роботи: «Моделювання інерційної і транспортної затримок часу» Варіант – 5 Мета роботи: навчитись описувати інерційну та транспортну затримки часу за допомогою Active-HDL, з’ясувати принципові відмінності між ними. Код програми: library IEEE; use IEEE.STD_LOGIC_1164.all; entity delays is port( x0 : in STD_LOGIC; x1 : in STD_LOGIC; x2 : in STD_LOGIC; y : out STD_LOGIC ); end delays; architecture delays of delays is signal x0in, x1in, x2in, A,B,C,D: std_logic := 'U'; constant or2: time:= 10 ns; constant and2: time:= 10 ns; constant inv: time:= 5 ns; constant input: time:= 10 ns; begin Pr_Start: process (x0, x1, x2) is begin x0in <= transport x0 after input; x1in <= transport x1 after input; x2in <= transport x2 after input; end process Pr_Start; A <= x0in or x1in after or2; B <= not(A) after inv; C <= A and x2in after and2; D <= B or x2in after or2; y <= C and D after and2; end delays; Схема повного однорозрядного суматора:  Результати моделювання: Інерційні затримки (тривалість вхідних сигналів більша за інерційну затримку логічних елементів):  Інерційні затримки (тривалість вхідних сигналів менша за інерційну затримку логічних елементів):  Транспортні затримки (тривалість вхідних сигналів більша за інерційну затримку логічних елементів):  Транспортні затримки (тривалість вхідних сигналів менша за інерційну затримку логічних елементів):  Висновок: На лабораторній роботі я ознайомився транспортними та інерційними затримками в Active–HDL, та навчився використовувати їх на практиці. По ходу виконання роботи я з’ясував основні відмінності між цими видами затримок. Різниця між затримками показана у результатах моделювання с різними параметрами.
Антиботан аватар за замовчуванням

24.03.2013 21:03

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини